Report - Guilherme Henrique Renó Jorge - USP...Wishbone standard, for the extraction of invariant characteristics from binary images using logic programmable devices. Keywords: FPGAs, soft

Please pass captcha verification before submit form