Slides correção

68
“Projeto de um Sistema de Registro de Sinais de Neurônios in vitro em Matriz de MicroletrodosDissertação de Mestrado em Ciência da Computação - FACCAMP Aluno: Francisco Fambrini Orientador: Prof. Dr. José Hiroki Saito 18/Dezembro/2013

Transcript of Slides correção

Page 1: Slides correção

“Projeto de um Sistema de Registro de

Sinais de Neurônios in vitro em Matriz de

Microletrodos”

Dissertação de Mestrado em Ciência da

Computação - FACCAMP

Aluno: Francisco Fambrini

Orientador: Prof. Dr. José Hiroki Saito

18/Dezembro/2013

Page 2: Slides correção

Sumário da Apresentação

1- Introdução: Objetivos da dissertação

2- Revisão sobre MEA e sua aplicação em registros de sinais neuronais

3 – Visão global do projeto de dissertação

4 – Detalhamento das partes desenvolvidas

5 – Resultados obtidos

6 – Conclusões e trabalhos futuros

Page 3: Slides correção

Objetivos

• Objetivo principal: Projeto de um Sistema de Registro de Sinais de Neurônios (SRSN) em Matrizes de Multieletrodos.

• Objetivos subjacentes: 1) soquete elétrico para MEA padrão

2) pré-amplificador e filtros

3) amplificador e conversor analógico/digital

4) transmissão de sinais via USB

Page 4: Slides correção

Principais Contribuições

• Desenvolvimento de um projeto alternativo para registro de sinais biológicos, levando-se em conta a possibilidade de ajuste para as características de relação sinal/ruído e taxa de aquisição, em conformidade com os sinais produzidos pelas MEAs.

• Devido a essa possibilidade de ajuste para as características, o mesmo projeto pode ser aproveitado para o desenvolvimento de outros sistemas semelhantes de aquisição de sinais biológicos como EEG ou ECG, sistemas MEA de alta densidade, aproveitando a escalabilidade do projeto, e interface cérebro máquina (Brain Computer Interface).

Page 5: Slides correção

MEA: Matriz de Microeletrodos

Page 6: Slides correção

Neurônios e células da Glia

As células da glia são formadas pelos astrócitosprotoplasmáticos e fibrosos, pelos oligodendrócitose pelas micróglias. Cabe aos neurônios o papel de produzir e propagar os sinais elétricos através do transporte de íons em suas membranas.

O papel da glia é de fornecer sustentação mecânica, proteção e nutrição aos neurônios.

Page 7: Slides correção

Funções das células gliais

• As células da glia são capazes de modificar os sinais nas fendas sinápticas entre os neurônios, fornecem nutriente e oxigênio para eles, isolam um neurônio do outro, destroem germes invasores e removem células mortas.

• Mantêm a homeostase do tecido nervoso, formam mielina e participam na transmissão de sinais no sistema nervoso, podendo influenciar o local da formação das sinapses. Podem ser essenciais para o aprendizado e para a construção da memória, além de importantes na recuperação de lesões neurológicas, porém prejudicam o a captação dos sinais elétricos quando in-vitro na MEA.

Page 8: Slides correção

Eficiência, produção e consumo de energia do tecido nervoso

A produção de energia do corpo humano é de cerca de 1,5 W/kg.

Nosso Sol produz cerca de 4 × 10^26 W.

Massa do Sol é de 2 × 10^30 kg, o que resulta em uma produção de apenas 0,0002 W/kg.

A relação Energia/Massa é muito menor na estrela do que no corpo humano, o que evidencia a extrema eficiência termodinâmica dos organismos dos mamíferos.

O cérebro é responsável por consumir 20% da energia do corpo humano, cerca de 24 W.

A área de superfície do cérebro é de cerca de 1.500 cm2 a 2.000 cm2

Page 9: Slides correção

Se o cérebro consome 24 W de potência e possui área de superfície média de 0,2 m2

então, a taxa de consumo energético médio do tecido nervoso é dada pela equação (1):

Níveis de energia no cérebro

• O diâmetro de cada eletrodo da MEA padrão é de 30 µm , a área do eletrodo é da ordem de 7 × então, substituindo essa área na equação (1), conclui-se que a energia consumida e gerada por unidade de área de tecido nervoso submetida a ação de cada eletrodo é de apenas aproximadamente 84 nW.

Se o cérebro consome 24 W de potência e possui área de superfície média de 0,2 m2 então, a taxa de consumo energético médio do tecido nervoso é dada pela equação (1):

Page 10: Slides correção

Spikes e Bursts

• Spikes são picos de atividade elétrica de neurônios e grupos de neurônios. Um sinal elétrico gravado a partir da MEA possui picos e outra parte sem atividade elétrica denominada ruído biológico.

• Os softwares de aquisição e registro de dados calculam o desvio padrão do ruído biológico da atividade basal do tecido nervoso. Para detectar um spike é preciso estabelecer um limiar que é calculado como sendo um múltiplo do desvio padrão.

• Um burst é uma sequência de 5 a 10 spikes cuja distância temporal é arbitrada (escolhida) pequena. Intervalos entre bursts tem duração mínima de 100 ms tipicamente

Page 11: Slides correção

Spike: um múltiplo (arbitrado) da média do desvio padrão dos sinais da atividade basal.

Page 12: Slides correção

Parâmetros importantes para estudo dos sinais em MEA

Quanto aos spikes:

a) Número total de spikes;b) Quantidade de spikes que formam bursts;c) Porcentagem de spikes aleatórios;d) Frequência média de spikes em um burst (spikes/segundo);

Quanto aos bursts:

a) Taxa média de bursts (bursts/minuto);b) Número total de bursts;c) Média de spikes por bursts;d) Duração dos bursts (ms);e) Intervalo entre bursts (segundos).

Page 13: Slides correção

O janelamento deve ser pequeno o suficiente para pegar toda a extensão de um spike. A detecção errada de spikes leva a dados inválidos ao final da análise.

Page 14: Slides correção

ISI e IBI

a) ISI, Intervalo entre spikes consecutivos;

b) IBI, Intervalo entre dois bursts consecutivos.

Os softwares de aquisição e registro de dados devem ser capazesde identificar e medir ISI e IBI nos sinais registrados. Aimportância em estudar os spikes é que a informação estácontida nos spikes e no atraso entre dois spikes consecutivos.Também existe informação relevante no intervalo de tempoentre os bursts.

Page 15: Slides correção

PWM: Pulse Width ModulationEm circuitos eletrônicos digitais, a informação pode ser processada de modo similar

ao que ocorre nos tecidos nervosos biológicos, usando-se uma técnica denominada PWM (Pulse Width Modulation) ou Modulação por Largura de Pulsos.

Variando-se o intervalo de tempo entre os pulsos, o PWM carrega informações que pode ser transformada para o domínio analógico.

Neurônios disparando spikes assemelham-se a geradores PWM em eletrônica.

Page 16: Slides correção

MEA: Multielectrode Array• É uma interface bioeletrônica entre o mundo biológico e o mundo eletrônico.

As células neurais em tecidos (fatias) ou dissociadas são colocadas sobre um circuito elétrico (Rutten, 2001). Os eletrodos da MEA são também usados para estimulação elétrica extracelular in vitro, aplicando pulsos controlados de tensão ou corrente nos eletrodos. Pode-se medir e registrar através do computador a resposta ao estímulo elétrico evocado ou, então, o sinal elétrico espontâneo gerado pelas células.

Page 17: Slides correção

MEA: Matriz de Microeletrodos

A MEA denominada padrão, fabricado pela Multi Channel System, possui 60

eletrodos em um layout de 6×10 ou 8×8 sem os microeletrodos nos vértices. Tais

microeletrodos podem ser redondos (de titânio) com diâmetro de 30µm e o

material isolante é o nitrito de silício. Utilizado em múltiplas aplicações de registro

de sinais eletrofisiológicos: cultura de neurônios dissociados ou fatias de tecido

localizados diretamente sobre os eletrodos.

Page 18: Slides correção

Exemplo de Aplicação da MEA em farmacologia

• Pode ser usada para fazer estudos sobre a obesidade. MEA atua como um biosensor para testes in vitro de drogas com ação sobre o sistema nervoso.

Page 19: Slides correção

Descrição do experimento

• Depois de gravar uma taxa estável de disparos para cada eletrodo, 0,1 micro-Mol de Ghrelin (um hormônio produzido pela parede do estômago e pelo hipotálamo, que estimula o apetite) foi adicionado à fatia de tecido.

• No intervalo entre 24 e 40 segundos alguns eletrodos registraram um aumento na taxa de disparo e outros diminuíram sua taxa de disparo em função da aplicação do hormônio.

• Após a fatia ser lavada (para remover o hormônio) a taxa de disparo novamente se estabiliza. Na Figura anterior observa-se que os eletrodos que registraram aumento no número de spikes entre 24 e 40 s são dos eletrodos que se encontram nas regiões B, C, E e F, região justamente onde foi aplicado o hormônio.

• Os eletrodos localizados nas regiões A e D, mais distantes do ponto de aplicação do hormônio, tiveram significativa redução do número de spikes/segundo.

Page 20: Slides correção

Equipamento usado para registro de sinais elétricos em MEA

1) Soquete que estabelece a conexão elétrica entre a MEA e o amplificador;

2) Amplificador de sinais;3) Conversor analógico/digital integrado à placa de aquisição de

dados, contendo o multiplexador;4) Computador PC com grande espaço para armazenamento de

dados;5) Software específico para aquisição de dados;

Opcionalmente, podem ser incluídos:6) Gerador de Sinais com a mesma conformação da MEA (MEA Signal

Generator), que serve para testar todo o sistema sem necessidade de se fazer a cultura de células;

7) Estimulador elétrico para produzir estímulos elétricos na MEA e registrar assim a resposta ao potencial evocado.

Page 21: Slides correção

Equipamento para Aquisição e Registro de dados

Page 22: Slides correção

Softwares para Aquisição de Dados

MC Rack, de MultiChannel Systems

Page 23: Slides correção

Softwares para Aquisição e Registro de Dados

Moebius de MED64.

NeuroRigther(Freeware), escrito porJohn Rolston, Pottere colaboradores.

Page 24: Slides correção

fr

Posição do “pad” de terra no padrão MultiChannell (MCS)

O eletrodo de terra (GND) é o de número 15 na MEA padrão MCS (MultiChannell Systems)

Page 25: Slides correção

fr

Gerador de Estímulos Evocados

RAC System, gerador de estímulos proposto por Daniel Wagenaar, 2004.

Page 26: Slides correção

fr

Visão Global do Projeto Proposto

Page 27: Slides correção

Parte do sistema implementado para efeitos da presente dissertação

a) Soquete MEA padrão completo;

b) Pré-amplificador (2 canais);

c) Filtros (2 canais);

d) Amplificador (2 canais);

e) MUX1 (2 canais);

f) Conversor AD (2 canais);

g) Versão inicial do software escrito em MATLAB (para registro de 2 canais);

h) Lay-out final para implementar os 60 canais do amplificador, MUX1 e MUX2, ADC1 e ADC2 e conversor USB.

Page 28: Slides correção

Parte implementada do Sistema

Page 29: Slides correção

Distribuição dos terminais do Soquete de MEA padrão

Page 30: Slides correção

Implementação do Soquete Elétrico

Page 31: Slides correção

Pré-amplificador

• Baseado no Amplificador de Instrumentação Texas INA333

Page 32: Slides correção

Simulação computacional de densidade de ruído – INA333, simulador TINA-TI

Page 33: Slides correção

Filtro Passa-Altas

Filtro Butterworth de 2 Ordem com frequencia de corte 0,16Hz

Page 34: Slides correção

Filtro Passa-baixas

Filtro passa-baixas Butterworth de 2 ordem com frequencia de corte 159Hz

Page 35: Slides correção

Os dois filtros juntos, formando um filtro passa-banda

Page 36: Slides correção

Simulação da tensão e corrente de ruído para os filtros propostos

Page 37: Slides correção

Diagrama Final do pré-amplificador e filtro implementado, 1 canal

+5V

-5V

+5V +5V

-5V

-5V

V1 12

V2 12

V3 2,5

V4 2,5

+Entrada Sinal 1 R2 1M

RG

+

-V-

RefOut

V+RG

U1 INA333

R1 2,2k

R3 2,2k R4 4

,7k

C1 1u

R5 100kR6 1k

Ganho -Ajuste 20kC2 1n

C3 1u

R7 1

M

R8 10k R9 15k

C4 33n

C5 2

20n

R10 100kR11 8,2k

Saida

Test P

oin

t 1

-

++

3

2

1

411

U2 TL074

IN OUT

COM

3

2

1

7805 TLE2425

INOUT

COM

3

2

1

7905 TLE2425

-

++3

2

1

411

U3 TLC074

C7 10nC8 100n

C9 100n

C10 100n

C6 10n

Fambrini & Saito MEA Amplif ier - 1 channel

1 polo - Fc=0,16Hz - Passa-Altas Passa-Baixas - 3 ordem

HeadStage Amplif ier - G=1+ 100K/Rg

G=6 ate 101G=13

Page 38: Slides correção

Subsistema de Amplificação, Conversão AD e Transmissão de Sinais

Page 39: Slides correção

Subsistema de Amplificação (buffer)

Page 40: Slides correção

Chip escolhido:Texas TLC2274, amplificador operacional quádruplo

Page 41: Slides correção

Conversor AD de 60 canais• Possível escolha: DSPIC33FJ256GP710 (Microchip) oferece 32 entradas analógicas

multiplexadas em 2 conversores AD internos (cada entrada possui um MUX de 16 entradas).

• Taxa de amostragem (sample rate) máxima = 1,1 Msps (1,1 milhões de amostras por segundo) divididas entre os 32 canais (Microchip, 2013).

• Hardware interno dedicado a DMA (Direct Memory Acess) o que aumenta a velocidade de armazenamento e transferência dos dados, permitindo guardar dados na memória sem a interferência do processador.

• A resolução dos conversores A/D é de 16 bits ou de 12 bits, podendo tal resolução ser escolhida através do firmware.

• São necessários dois chips para se atingir os 60 canais necessários.

• Com resolução de 16 bits e fonte de referência interna em 3.3 volts, a menor tensão que pode ser amostrada é:

Page 42: Slides correção

Conversor AD projetado (32 canais)

Page 43: Slides correção

Lay-out da Placa de Circuito Impresso

Page 44: Slides correção

Conversor AD implementado

• Devido às limitações de tempo e de recursos financeiros, foi implementado até o momento um conversor AD mais simples, com apenas 2 canais, com a finalidade de testar o conceito.

• Baseado no microcontrolador PIC18F4520 (Microchip), com resolução de 10 bits em cada canal, a menor tensão que pode ser amostrada é dada por:

Page 45: Slides correção

Firmware responsável pela leitura do conversor AD

SETUP_ADC(ADC_CLOCK_INTERNAL | VSS_VDD);

set_adc_channel (0);

delay_us(2); // aguarda 2 us

READ_ADC(ADC_START_AND_READ);

M = read_adc(); // demora cerca de 8 us

printf ("D%s\r\n",M); //demora cerca de 100 us

O caractere ‘D’ é usado como caractere de sincronismo.

Uma amostragem a cada 10 us, mas a instrução printf demora cerca de 100us.

Page 46: Slides correção

Limitações na Taxa de Amostragem

• O conversor AD é capaz de efetuar até 100 mil conversões por segundo. Entretanto, as demais instruções consomem algum tempo de processamento.

• A instrução printf por exemplo, demora cerca 100 µs. O tempo total de execução da rotina de aquisição de dados é da ordem de 110 µs.

• Assim, a máxima taxa teórica de amostragem seria 9091 amostras por segundo. Isso coloca a frequência de amostragem no valor de 9 kHz. De acordo com o Teorema da Amostragem, a máxima frequência teórica que pode ser amostrada seria igual a 4,5kHz.

• Mas existe também o problema da velocidade do software que é executado no computador. De fato, scripts em MATLAB são de execução bastante lenta

Page 47: Slides correção

Conversor AD implementado, baseado em PIC18F4520

Page 48: Slides correção

Foto do Sistema implementado em testes

Page 49: Slides correção

Conversor USB

• Baseado no chip PL2303HX da Prolific, velcoidade máxima de transferência de dados de 12 Mbps.

Page 50: Slides correção

Software de Registro de Sinais de Neurônios in vitro em MEA

Comunicação serial USB em MATLAB:

N=1000; % fixa o tamanho em 1000 amostras

s = serial ('COM5','BaudRate',460800,'DataBits',8,'Parity','none','FlowControl','none');

fopen(s);

seq = 1:N;

y = zeros(1,N);

for i=1:N

fprintf( s, '%s', ‘D');

y(i) = str2num( fscanf(s,'%s') );

end

fclose(s);

plot(seq,y); % plota o gráfico com as informações da porta USB

xlabel('registro');

ylabel('Tensao (mV)');

Page 51: Slides correção

Imagens plotadas pelo MATLAB, em tempo real

Page 52: Slides correção

FFT do sinal Amostrado

figure(2)L=length(y);NFFT = 2^nextpow2(L); Y = fft(y,NFFT)/L;f = Fs/2*linspace(0,1,NFFT/2+1);plot(f,2*abs(Y(1:NFFT/2+1)))title('Espectro de Amplitude ')xlabel('Frequencia (Hz)')ylabel('|Y(f)|')

Page 53: Slides correção

Filtro Notch: para rejeitar interferências de 60Hz da rede elétrica

% Filtra em 60 Hz - notch filter(MATLAB)

Wo = 60/(Fs/2); BW = Wo/35;[b,a] = iirnotch(Wo,BW);y = filter(b,a,m);

A e B determinam a largura de banda do filtro. Trata-se de um filtro digital recursivo do tipo IIR (Infinit Impulse Response)

Page 54: Slides correção

Software de Aquisição de dados escrito na linguagem Processing

• Scripts em MATLAB são lentos porque são interpretados. A Linguagem Processing(baseada em JAVA) é gratuita e permite o uso de recursos gráficos de OpenGL, sendo muito rápida para processamento de gráficos em tempo real.

void setup( ) {

size(640, 480);

port = new Serial(this, Serial.list( )[0], 9600);

values = new int[width];

smooth();

void draw( ){

while (port.available() >= 3) {

if (port.read() == 0xff) {

val = (port.read( ) << 8) | (port.read( )); }}

for (int i=0; i<width-1; i++)

values[i] = values[i+1];

values[width-1] = val;

background(0);

stroke(255);

for (int x=1; x<width; x++) {

line(width-x, height-1-getY(values[x-1]),

width-1-x, height-1-getY(values[x]));

Page 55: Slides correção

Usando Processing foi possível registrar frequênciasde até 3kHz, contra 100Hz usando o MATLAB

Page 56: Slides correção

Resultados Experimentais: 1-Testes elétricos do Soquete

• a) Adição de cloreto de sódio (líquido iônico condutivo), na cavidade de cultivo de cultura da MEA, para medir a condutividade elétrica entre eletrodos;

• b) Verificação da ausência de curto-circuitos entre contatos adjacentes da MEA, usando-se um multímetro;

• c) Medida da impedância dos contatos elétricos, usando-se gerador de sinais Sim-MEA descrito neste trabalho.

• Resistência média dos contatos elétricos:

Rm =285 ohms

Page 57: Slides correção

Resultados Experimentais: 2-Construção do Gerador de Sinais Sim-MEA

Page 58: Slides correção

Sim-MEA: Um Simulador de sinais microcontrolado para teste de todo o sistema

Page 59: Slides correção

Sim-MEA montado no Soquete, ao lado de headstage

Page 60: Slides correção

Resultados Experimentais: Nível de ruído do pré-amplificador e filtros, em função do

ganho

Page 61: Slides correção

Resultados Experimentais: Senóide com amplitude 50 mV p-p, T= 1000us

Page 62: Slides correção

Onda quadrada, 100mV

Page 63: Slides correção

Onda dente-de-serra, F=100Hz, Vpp=85mV

Page 64: Slides correção

Parte de um sinal de MEA real, simulado pelo Sim-MEA

Page 65: Slides correção

Resultados Experimentais:4-Script em MATLAB que registra 2 canais em tempo

realff% res = dataFromResult('P,100,0,500,0');fprintf(s2,'a');if s2.BytesAvailableres = dataFromResult(fscanf(s2));if i>1tijd(i) = toc;tijd(i)= tijd(i) + tijd(i-1);endticA(i,:) = res;b=0;for j=PLOTSENSORSb=b+1;subplot(length(PLOTSENSORS),1,b);if i>timespanplot(tijd(i-timespan+1:i),A(i-timespan+1:i,j),'g','lineWidth',2);xlim([tijd(i-timespan+1) tijd(i)]);elseplot(tijd,A(:,j),'g','lineWidth',2);endylim([ymin ymax]);box offtitle(SENSORNAMES{j});endi=i+1;pause(0.02);end

Page 66: Slides correção

Conclusões e Trabalhos FuturosConclusões:Resultados experimentais parciais (apenas 2 canais foram efetivamente implementados e

testados), funcionam adequadamente para o registro de sinais eletrofisiológicos obtidos com o uso de MEAs, da ordem de alguns microvolts, com os níveis de ruído compatíveis com a aplicação.

O Software de Registro de Sinais de Neurônios in vitro em MEA ainda encontra-se em fase inicial de desenvolvimento, porém mostra resultados promissores. O pré-amplificador, filtro, amplificador, MUX e conversor AD mostram-se efetivos com níveis de amplificação adequados, em torno de 1000 vezes e banda passante que pode ser ajustada se necessário substituindo alguns valores de componentes, assim como o ganho, que pode ser ajustado através de um potenciômetro, para cada canal. Testes reais em matriz de multieletrodos precisam ainda ser feitos para se obter a validação do sistema em campo.

Trabalhos Futuros:a) Conclusão da construção de um sistema SRSN completo de 60 canais;b) Validação do Sistema em cultura biológica;c) Construção do Gerador de Estímulos Elétricos para Potencial Evocado ;d) Amplificador usando Ressonância Estocástica (RE);e) Registro de Sinais de EEG;f) Interfaces Cérebro-Máquina (BCI);g) Estudos de Sinais para Neuroimplantes.

Page 67: Slides correção

Ressonância Estocástica (RE)

• É um fenômeno no qual a razão sinal-ruído, de um sistema não-linear, tem o seu máximo para um valor não-nulo de ruído.

• O sinal sozinho é indetectável e o ruído não contém nenhuma informação, mas, quando o sinal e o ruído ocorrem juntos, para uma dada razão ótima entre os dois, o limiar é atravessado probabilisticamente segundo a amplitude modulada do sinal (isto é, o limiar será mais vezes atravessado quando o nível do sinal está mais perto do limiar), o que fornece informação sobre o sinal (Lopes, 2010).

• Um sinal de amplitude muito pequena (indetectável) quando misturado ao rúido branco é amplificado e pode ser detectado.

Page 68: Slides correção

AGRADECIMENTOS

Ao Prof. Dr. José Hiroki Saito;

Aos colegas de Mestrado da Faccamp;

A todos os professores do Curso de Mestrado em Ciência da Computação da FACCAMP;

A Texas Instruments e a Microchip inc;

A meus pais e ao Grande Arquiteto do Universo,

Meu muito OBRIGADO !

18/dezembro/2013