aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b –...

41
ComL@b – Laboratório de Comunicações Digitais www.decom.fee.unicamp.br/~cardoso Aula 3 – Exp 2: Noções de VHDL Fabbryccio Cardoso D lt S A t Dalton S. Arantes DECOM-FEEC-UNICAM

Transcript of aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b –...

Page 1: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

www.decom.fee.unicamp.br/~cardoso

Aula 3 – Exp 2: Noções de VHDL

Fabbryccio Cardoso

D lt S A tDalton S. Arantes

DECOM-FEEC-UNICAM

Page 2: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Objetivo do ExperimentoObjetivo do Experimento

Familiarização com o ISE – ambiente de

desenvolvimento integrado da Xilinx.

Obter noções básicas de VHDL.

Page 3: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Configuração Física do ExperimentoConfiguração Física do Experimento

Page 4: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Arquitetura do ProjetoArquitetura do Projeto

Page 5: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Passo 1: Criar novo projeto no ISEPasso 1: Criar novo projeto no ISE

Page 6: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Project: Project NameNew Project: Project Name

Page 7: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Project: Select DeviceNew Project: Select Device

Page 8: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Project: New SourceNew Project: New Source

Nã i f t iNão criar novas fontes aqui.(Do not create new sources here)

Page 9: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Project: Add Existing SourcesNew Project: Add Existing Sources

Não adicione fontes (Do not add sources).

Page 10: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Project: ConcluirNew Project: Concluir

Page 11: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Novo Projeto CriadoNovo Projeto Criado

Page 12: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Passo 2: Criar componente principal (top-level)Passo 2: Criar componente principal (top level)

Clicar com botão da direita (right click): - Selecionar (Select) “New Source”

Page 13: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Source: New Source:

Deixar os campos vazios(Let the fields empty).

Page 14: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

New Source: concluirNew Source: concluir

Page 15: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Abrir Fonte “proj principal”Abrir Fonte proj_principal

Duplo click para abrir fonte(Double click to open)(Double click to open).

Descomente (Uncomment)

Page 16: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Definição e Implementação de ComponenteDefinição e Implementação de Componente

Definição do Componente.(C t D fi iti )(Component Definition)

Implementação do Componente.(Component Implementation)

Page 17: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Interface do Componente PrincipalInterface do Componente Principal

Declaração de portas.

Page 18: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Declaração de componentes e de sinaisDeclaração de componentes e de sinais

Declaração de sinais e de componentes internos.ç p

Page 19: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Declaração de componentes e de sinaisDeclaração de componentes e de sinais

Page 20: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Instanciar e interconectar componentesInstanciar e interconectar componentes

CLKIN_INRST_IN

CLKFX OUT

clk_innot reset_inClkDiCLKFX_OUT

CLKIN_IBUFG_OUT CLK0_OUT

LOCKED_OUT

ClkDivopenopendcm_lock

instância tipoInst_ClockGen

portas sinais

Page 21: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Instanciar e interconectar componentesInstanciar e interconectar componentes

CLKIN_INRST_IN

CLKFX OUT

clk_innot reset_inClkDiCLKFX_OUT

CLKIN_IBUFG_OUT CLK0_OUT

LOCKED_OUT

ClkDivopenopendcm_lock

Inst_ClockGen

clk in ClkDivclk_inPCM_Playback_Left

PCM_Playback_RightPCM_Record_Left

ClkDivaudio_left_outaudio_right_outaudio_left_in

PCM_Record_Right audio_right_in

ac97_if_I

Page 22: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Implementar Componente PrincipalImplementar Componente Principal

Use o arquivo “modelos.vhd” para montar o

componente principal “proj_principal.vhd”.

O arquivo “modelos.vhd” contém declarações e

instância de componentes assim como de sinais.

Page 23: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Componente ac97 ifComponente ac97_if

Copiar os seguintes arquivos para a pasta do

j tprojeto:

ac97_if

ac97_command_rom.vhd

ac97_core.vhd

ac97_timing.vhd

ac97_if_pkg.vhd

Page 24: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Adicionar fontes do ac97 ifAdicionar fontes do ac97_if

(1) Select( )

(3) Selecionar e clicar

(2) Duplo Click

(4) clicar

Page 25: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Após inserção dos fontes do ac97 ifApós inserção dos fontes do ac97_if

Page 26: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Componente audio clk wrapperComponente audio_clk_wrapper

A implementação do componente

audio_clk_wrapper é disponibilizado como netlist

(NGC).

Copiar para a pasta do projeto o netlistp p p p j

“audio_clk_wrapper.ngc”.

Page 27: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Componente ClockGenComponente ClockGen

A implementação do componente ClockGen precisa

ser gerado através da ferramenta Core Generator.

Page 28: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Geração de ClockGenGeração de ClockGen

(right click)( g )New source

Page 29: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Selecione o Tipo do CoreSelecione o Tipo do Core

Page 30: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Page 31: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

(1)

(2)

Page 32: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Após a geração de clockgenApós a geração de clockgen

Page 33: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

User Constraints: mapeamento de pinosUser Constraints: mapeamento de pinos

(1) Selecione

(3)

(2) Duplo Click

Page 34: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Mapeamento de PinosMapeamento de Pinos

(1)

(2)

Page 35: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Geração do BinárioGeração do Binário

Page 36: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Abrir Impact (Xilinx\Accessories)Abrir Impact (Xilinx\Accessories)

Page 37: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Page 38: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Atribuir binárioAtribuir binário

(1) Right Click - Assign

(2)(2)

Page 39: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

Gravar dispositivoGravar dispositivo

(1) Right Click - Program

(2) Click

Page 40: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

AvaliaçãoAvaliação

Visto do experimento = 5 pontos;

Relatório = 5 pontos.p

Page 41: aula3-exp2.ppt [Modo de Compatibilidade]cardoso/ie344b2008s2/aula3-exp2.pdf · ComL@b – Laboratório de Comunicações Digitais Objetivo do Experimento Familiarização com o ISE

ComL@b – Laboratório de Comunicações Digitais

RelatórioRelatório

Objetivo do experimento; (0,5 ponto)

Introdução: introdução básica sobre os elementos

de linguagem utilizados no projeto; (3 pontos)

Procedimentos: Resumo dos procedimentos

adotados para realização do experimento. (1 p ç p (

ponto)

Resultados e conclusões (0,5 ponto)