IJTAG P1687 MARCELO ARAUJO LIMA JILSEPH LOPES. Objetivos Termos Introdução Norma P1687 Arquitetura...

Post on 18-Apr-2015

105 views 0 download

Transcript of IJTAG P1687 MARCELO ARAUJO LIMA JILSEPH LOPES. Objetivos Termos Introdução Norma P1687 Arquitetura...

IJTAGP1687

MARCELO ARAUJO LIMA

JILSEPH LOPES

Objetivos

• Termos• Introdução• Norma P1687• Arquitetura IJTAG• Estudo de Caso: IJTAG Language

Termos

• JTAG

• 1149.1-zone

• 1149.1-overlap-zone

• 1149.1-IR

• 1149.1-SM

• 1149.1-Compatible

• IEEE 1500-2005

• TAM

Termos (cont.)

• WIR

• IEEE P1687

• Instrument

• Gateway

Introdução

• IJTAG (Internal JTAG) – P1687 oficial;

• É um padrão para acessar e controlar instrumentos embarcados nos dispositivos semicondutores;

• Descreve a arquitetura interna de Instrumentos, mostra como usar, mas não como é feito;

• Use of “compliance enable” pins to create ad-hoc; modes;

• Uses other states of the JTAG state machine in creative ways to solve various problems;

Pilares da IJTAG

BSDL

• Fornece o inventário de Instrumentos contidos no Chip;

• Necessário para identificar e localizar os instrumentos;

Modelo de API

• Os procedimentos do P1687 podem ser pensados como uma API:– Pode ser chamada de muitos ambientes de alto

nível.– Entregue como um Package pelo IP provider.– Esconde o low-level dos usuários.

• Layers

HUB

Visualização da IJTAG (Fig.1)

Visualização do IJTAG (Fig.2)

IEEE P1687 Assume

• Serve para definir o protocolo de acesso ao Instrumento;

• Não serve para criar novos Instrumentos;

• Possibilita o reuso de instrumentos no níveis de sistema – minimizando o uso extra de sinais;

Norma IEEE1687

• Overlap-Zone

– É requisito o uso do TAP e controlador de TAP como definido no 1149.1;

– Não é requisito para o 1149.1 ser um modo de Compliance-Enable para usar ou acessar o porção da arquitetura do 1687;

– Não é requisito o uso de um dispositivo suportando o 1687 separado;

– Instrumentos P1687 que não forem descritos pelo BSDL não devem estar conectados diretamente no 1149.1-IR e não deve estar no OVERLAP-ZONE;

Overlap-Zone (cont.)

– É requisito instruções adicionais para o conjunto de instruções do 1149.1 para elementos dentro 1149.1-Overlap-Zone;

– É requisito que os instrumentos de conectividade na zona do 1149.1 sejam alimentados pelos requisitos, otimizações e checagens de compatibilidade do 1149.1;

Estrutura do Overlap-Zone

Gateway

• Instrumentos acessados pelo 1149.1-IR e suporta hierarquia de acesso para outros instrumentos.

• É requisito ser operável por um TAP compativel com o 1149.1 e o controlador do 1149.1 (deve ser TIPO-B ou TIPO-C de instrumento).

• É preferível suportar a instrução de RESET, para permitir que as conexões hierárquicas sejam fechadas.

Exemplo - Gateway

Exemplo 3 - Gateway

Tipos de Instrumentos

• Tipo A:– O instrumento é definido como um “self

contained instrument”;– Habilitado por sinais estáticos;– Relata estados por sinas de “latched-output”;– Não tem serial-path;– Supports no hierarchy;– Não deve ser usado como Gateway;– Exemplo: Memory BIST controller.

Visualização do TIPOA

Exemplo TIPOA

Tipos de Instrumentos (cont.)

• Tipo B:– Instrumento compatível com instrumentos

1149.1;– Tem serial scan-path;– Pode suportar Hierarchy;– Pode ser usado como Gateway;– Exemplo: Qualquer instrumento que é

diretamente gerenciado pelo sinais da máquina de estados do 1149.1

Visualização TIPOB

Tipos de Instrumentos (cont.)

• Tipo C:– “Self-instructed instrument” ;– Opera identicamente com o 1149.1;– Compatível com 1500-TAM:

• Tem múltiplos registradores internos;• Requisito sinal de Select-IR;

– Support Hierarchy;– Pode ser usado como Gateway;– Exemplo: 1500 Wrapped core;

Visualização do TIPOC

Tipos de Instrumentos (cont.)

• Tipo D:

– Instrumento definido como instrumento TipoB ou TipoC;

– Instrumento cuja interface de controle suporta pelo menos um dos seguintes:

• Um sinal ou seqüência não produzida por um TAP compatível com 1149.1 ou Controlador 1149.1;

• Um clock além do TCK;

• Uma porta de dados além do TDI-TDO serial scan-path

• Não pode ser usado como Gateway;

– Exemplo: 1500 Wrapped core;

Visualização TIPOD

Tabela Exemplo das Interfaces P1687

Exemplo da Interface da Hierarquia de Instrumentos

Exemplo de Comunicação entre Instrumentos

Exemplo de Comunicação entre Instrumentos (cont.)

Esquemas de conectividade de instrumentos

• O esquema de conexão dos instrumentos, incluindo Gateways, na zona de Overlap irá de encontro as regras, requisitos, tradeoffs, e otimizações permitidas pelo padrão 1149.1.

Exemplo Flat-Connection

Exemplo Flat-Connection (cont.)

• Contra:– A negative tradeoff is no CONCURRENCE and

no FLEXIBILITY;– A negative tradeoff is excessive IR-WIDTH;– A negative tradeoff is more ROUTING-

CONGESTION;• Prós:

– A positive tradeoff is short SCAN-PATH-DEPTH that is STABLE;

– A positive tradeoff is less POWER;

Exemplo Daisy-Chain-Connection

Exemplo Daisy-Chain-Connection (cont.)

• Contra:– A negative tradeoff is more RISK;– A negative tradeoff is more POWER;– A negative tradeoff is less FLEXIBILITY;– A negative tradeoff is long SCAN-PATH-DEPTH;

• Prós:– A positive tradeoff is a more SCAN-PATH-DEPTH

STABILITY;– A positive tradeoff is small IR-WIDTH;– A positive tradeoff is less ROUTING-CONGESTION ;– A positive tradeoff is more CONCURRENCE;

Exemplo Star-Connection

Exemplo Star-Connection (cont.)

• Contra:– Complexidade na implementação;

• Prós:– CONCURRENCE can be supported by grouping

instruments that must be used simultaneously ;– RISK is alleviated;– POWER consumption is alleviated;

Exemplo Concatenate-Connection

Exemplo Concatenate-Connection (cont.)

• Contra:– A negative tradeoff with this scheme is more connectivity

and timing RISK;– A negative tradeoff is excessive IR-WIDTH ;– A negative tradeoff is excessive IR-WIDTH;– A negative tradeoff is more AREA;

• Prós:– A positive tradeoff is less ROUTING-CONGESTION;– A positive tradeoff is more CONCURRENCE;– A positive tradeoff is more FLEXIBILITY;– A positive tradeoff is less failure RISK;– A positive tradeoff is less POWER;

Gráfico da Conectividade x Número de Instrumentos

Bibliografia

• IEEE 1687 IJTAG HW Proposal

• http://grouper.ieee.org/groups/1687/